You searched for +publisher:"Georgia Tech" +contributor:("Henderson, Clifford")
.
Showing records 1 – 30 of
41 total matches.
◁ [1] [2] ▶

Georgia Tech
1.
Sundaramoorthi, Annapoorani.
Fundamental understanding of physicochemical properties of ultra-thin polymer films.
Degree: PhD, Chemical Engineering, 2011, Georgia Tech
URL: http://hdl.handle.net/1853/43604
► Diffusion behavior of spin cast polymer thin films was studied in detail as a function of film thickness. Diffusion coefficients of water molecules in poly(methyl…
(more)
▼ Diffusion behavior of spin cast polymer thin films was studied in detail as a function of film thickness. Diffusion coefficients of water molecules in poly(methyl methacrylate) (PMMA) were found to decrease from 10-8 cm2/s in thick films to 10-13 cm2/s in ultra-thin films. In order to probe if there is a characteristic length scale set by the polymer chain size, the effect of PMMA molecular weights on this behavior was tested and deviation of diffusion coefficient from bulk was observed in all molecular weights of PMMA investigated. Diffusion coefficients in these films was also studied as a function of aging time at 25°C and was not found to change significantly over a time period of approximately four months. The impact of residual casting solvent in thick and thin films was studied and found to have no influence in the diffusion behavior. Positron Annihilation Lifetime Spectroscopy (PALs) was used to probe the free volume (FV) pocket size and its distribution within the film as a function of film thickness in PMMA. Decrease in FV pocket size was found to be one of the general underlying causes for such thickness dependent diffusion behavior observed in thin polymer films. In addition, Protracted Colored Noise Dynamics (PCND) that enables efficient sampling of phase space and faster relaxation of the systems compared to Molecular Dynamics (MD) was investigated for its extensibility to three dimensional systems and was found to be sensitive to initial conformation.
Advisors/Committee Members: Henderson, Clifford (Committee Member), Ludovice, Peter (Committee Member).
Subjects/Keywords: Diffusion coefficient; Free volume; PCND; Photolithography; Polymers; Thin films; Microelectronics
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Sundaramoorthi, A. (2011). Fundamental understanding of physicochemical properties of ultra-thin polymer films. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/43604
Chicago Manual of Style (16th Edition):
Sundaramoorthi, Annapoorani. “Fundamental understanding of physicochemical properties of ultra-thin polymer films.” 2011. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/43604.
MLA Handbook (7th Edition):
Sundaramoorthi, Annapoorani. “Fundamental understanding of physicochemical properties of ultra-thin polymer films.” 2011. Web. 22 Jan 2021.
Vancouver:
Sundaramoorthi A. Fundamental understanding of physicochemical properties of ultra-thin polymer films. [Internet] [Doctoral dissertation]. Georgia Tech; 2011. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/43604.
Council of Science Editors:
Sundaramoorthi A. Fundamental understanding of physicochemical properties of ultra-thin polymer films. [Doctoral Dissertation]. Georgia Tech; 2011. Available from: http://hdl.handle.net/1853/43604

Georgia Tech
2.
Pande, Ashish Arunkumar.
Mechanism of fluoride-based etch and clean processes.
Degree: PhD, Chemical Engineering, 2011, Georgia Tech
URL: http://hdl.handle.net/1853/43600
► Fluoride-containing solutions are widely used to etch silicon dioxide-based films. A critical issue in integrated circuit (IC) and microelectromechanical systems (MEMS) fabrication is achievement of…
(more)
▼ Fluoride-containing solutions are widely used to etch silicon dioxide-based films. A critical issue in integrated circuit (IC) and microelectromechanical systems (MEMS) fabrication is achievement of adequate selectivity during the etching of different film materials when they are present in different areas on a device or in a stack. The use of organic fluoride-based salts in aqueous/organic solvent solutions can yield etch selectivities <1.9 for thermally-grown silicon dioxide relative to borophosphosilicate glass films, and thus may also obviate the need to add surfactants to the etch solutions to realize uniform etching. Etch studies with aqueous-organic fluoride salt-based solutions also offer insight into the etch mechanism of these materials. Specifically, the importance of water content in the solutions and of ion solvation in controlling the etch chemistry is described.
With respect to fluoride-containing solutions, etching of SiO₂ films using aqueous HF-based chemistries is widely used in IC and MEMS industries. To precisely control film loss during cleaning or etching processes, good control over the contact time between the liquid (wet) chemistry and the substrate is necessary. An integrated wet etch and dry reactor system has been designed and fabricated by studying various geometrical configurations using computational fluid dynamics (CFD) simulations incorporating reaction kinetics from laboratory data and previously published information. The effect of various process parameters such as HF concentration, flow rate, and flow velocity on the etch rates and uniformity of thermally-grown silicon dioxide and borophosphosilicate glass films was studied. Simulations agree with experiments within experimental error.
This reactor can also be used to wet etch/clean and dry other films in addition to SiO₂-based films using aggressive chemistries as well as aqueous HF under widely different process conditions.
A spectroscopic reflectometry technique has been implemented in-situ in this custom fabricated reactor to monitor the thickness and etch rate in wet etching environments. The advantages of this technique over spectroscopic ellipsometry in specific situations are discussed. A first principles model has been developed to analyze the reflectometry data. The model has been validated on a large number of previously published studies. The match between experimental and simulated thickness is good, with the difference ~ 5 nm. In-situ thickness and etch rate have been estimated using Recursive Least Squares (RLS), Extended Kalman Filter (EKF) and modified Moving Horizon Estimator (mMHE) analyses applied to spectroscopic reflectometry using multiple wavelengths with ZnO employed as a model film. The initial guess for EKF and mMHE has been obtained from a CFD model. It has been shown that both EKF and mMHE are less oscillatory than RLS/LS in the prediction of thickness and ER and more robust when a smaller number of wavelengths are used, in addition, the computational time for EKF is less than that of mMHE/RLS. For…
Advisors/Committee Members: Hess Dennis (Committee Chair), Frazier Albert (Committee Member), henderson clifford (Committee Member), janata jiri (Committee Member), liotta charles (Committee Member).
Subjects/Keywords: Reflectometry; Reactor; State estimation; Modelling and simulation; CFD; Etch chemistry; Microelectronics; Integrated circuits; Microelectromechanical systems
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Pande, A. A. (2011). Mechanism of fluoride-based etch and clean processes. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/43600
Chicago Manual of Style (16th Edition):
Pande, Ashish Arunkumar. “Mechanism of fluoride-based etch and clean processes.” 2011. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/43600.
MLA Handbook (7th Edition):
Pande, Ashish Arunkumar. “Mechanism of fluoride-based etch and clean processes.” 2011. Web. 22 Jan 2021.
Vancouver:
Pande AA. Mechanism of fluoride-based etch and clean processes. [Internet] [Doctoral dissertation]. Georgia Tech; 2011. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/43600.
Council of Science Editors:
Pande AA. Mechanism of fluoride-based etch and clean processes. [Doctoral Dissertation]. Georgia Tech; 2011. Available from: http://hdl.handle.net/1853/43600

Georgia Tech
3.
Jarnagin, Nathan D.
High χ block copolymers for sub 20 nm pitch patterning: synthesis, solvent annealing, directed self assembly, and selective block removal.
Degree: PhD, Chemistry and Biochemistry, 2013, Georgia Tech
URL: http://hdl.handle.net/1853/50287
► Block copolymer (BCP) thin film patterns, generated using directed self-assembly (DSA) of diblock copolymers, have shown excellent promise as templates for semiconductor device manufacturing since…
(more)
▼ Block copolymer (BCP) thin film patterns, generated using directed self-assembly (DSA) of diblock copolymers, have shown excellent promise as templates for semiconductor device manufacturing since they have the potential to produce feature pitches and sizes well below 20 nm and 10 nm, respectively, using current 193 nm optical lithography. The goal of this work is to explore block copolymers with sufficient thermodynamics driving force (as described by the Flory Huggins interaction parameter, χ) for phase separation at these smallest lengths scales. Here, poly(styrene)-b-poly(hydroxystyrene) is investigated since the PHOST domain is known to form extensive hydrogen bond networks resulting in increased χ due to this strong enthalpic interaction.
In this work, nitroxide mediated polymerization (NMP) techniques were utilized to produce PS-b-PHOST diblock copolymers with a range of molecular weights (5000-30000) with low PDI approaching 1.2. The phase separation of low molecular weight PS-b-PHOST on neutral underlayer substrates via solvent annealing provided thin film vertical lamellae with 13 nm pitch. These results illustrate the improved resolution of PS-b-PHOST compared with the current industry standard of PS-b-PMMA (with 20 nm pitch). The directed self assembly of lamellar PS-b-PHOST patterns with 18 nm pitch via graphoepitaxy is demonstrated.
Also, a highly selective atomic layer deposition (ALD) and etch technique was investigated which provided selective block removal of (PS-b-PHOST) block copolymer patterns which initially exhibited no inherent etch contrast. In this process, the PS domain is removed leaving a high fidelity etch relief pattern of the original block copolymer template. Finally, an alternative system is presented, namely Poly(trimethylsilylstyrene)-block-poly(hydroxystyrene) (PTMSS-b-PHOST), which utilizes silicon containing functionality in one of the blocks, providing high etch contrast. PTMSS-b-PHOST patterns were also exposed to oxygen plasma allowing selective block removal of the PS domain without the need for additional ALD processing steps.
Advisors/Committee Members: Henderson, Clifford L. (advisor), Tolbert, Laren M. (advisor), Collard, David (committee member), Reynolds, John (committee member), Bucknall, David (committee member).
Subjects/Keywords: Block copolymer; Phase separation; Directed self-assembly; Poly(styrene)-b-poly(hydroxystyrene); Atomic layer deposition; Reactive ion etch; Poly(trimethylsilylstyrene)-block-poly(hydroxystyrene); Block copolymers; Diblock copolymers; Thin films; Self-assembly (Chemistry)
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Jarnagin, N. D. (2013). High χ block copolymers for sub 20 nm pitch patterning: synthesis, solvent annealing, directed self assembly, and selective block removal. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/50287
Chicago Manual of Style (16th Edition):
Jarnagin, Nathan D. “High χ block copolymers for sub 20 nm pitch patterning: synthesis, solvent annealing, directed self assembly, and selective block removal.” 2013. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/50287.
MLA Handbook (7th Edition):
Jarnagin, Nathan D. “High χ block copolymers for sub 20 nm pitch patterning: synthesis, solvent annealing, directed self assembly, and selective block removal.” 2013. Web. 22 Jan 2021.
Vancouver:
Jarnagin ND. High χ block copolymers for sub 20 nm pitch patterning: synthesis, solvent annealing, directed self assembly, and selective block removal. [Internet] [Doctoral dissertation]. Georgia Tech; 2013. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/50287.
Council of Science Editors:
Jarnagin ND. High χ block copolymers for sub 20 nm pitch patterning: synthesis, solvent annealing, directed self assembly, and selective block removal. [Doctoral Dissertation]. Georgia Tech; 2013. Available from: http://hdl.handle.net/1853/50287

Georgia Tech
4.
Donado Morcillo, Carlos Alberto.
Development of lightweight and low-cost microwave components for remote-sensing applications.
Degree: PhD, Electrical and Computer Engineering, 2012, Georgia Tech
URL: http://hdl.handle.net/1853/51733
► The objective of the proposed research is to design, implement, and characterize low-cost, lightweight front-end components and subsystems in the microwave domain through innovative packaging…
(more)
▼ The objective of the proposed research is to design, implement, and characterize low-cost, lightweight front-end components and subsystems in the microwave domain through innovative packaging architectures for remote sensing applications. Particular emphasis is placed on system-on-package (SoP) solutions implemented in organic substrates as a low-cost alternative to conventional, expensive, rigid, and fragile radio- frequency substrates. To this end, the dielectric properties of organic substrates RT/duroid 5880, 6002 and 6202 are presented from 30 GHz to 70 GHz, covering most of the Ka and V radar bands, giving also a thorough insight on the uncertainty of the microstrip ring resonator method by means of the Monte Carlo uncertainty analysis. Additionally, an ultra-thin, high-power antenna-array technology, with transmit/ receive (T/R) functionality is introduced for mobile applications in the X band. Two lightweight SoP T/R array panels are presented in this work using novel technologies such as Silicon Germanium integrated circuits and microelectromechanical system switches on a hybrid organic package of liquid crystal polymer and RT/duroid 5880LZ. A maximum power of 47 dBm is achieved in a package with a thickness of 1.8 mm without the need of bulky thermal management devices. Finally, to address the thermal limitations of thin-film substrates of interest (liquid crystal polymer, RT/duroid 6002, alumina and Aluminum Nitride), a thermal assessment of microstrip structures is presented in the X band, along with the thermal characterization of the dielectric properties of RT/duroid 6002 from 20 ºC to 200 ºC and from 30 GHz to 70 GHz. Additional high-power, X-band technologies presented in this work include: a novel and compact topology for evanescent mode filters, and low-profile Wilkinson power dividers implemented on Aluminum Nitride using Tantalum Nitride thin-film resistors.
Advisors/Committee Members: Papapolymerou, John (advisor), Peterson, Andrew F. (committee member), Henderson, Clifford F. (committee member), Durgin, Gregory (committee member), Brand, Oliver (committee member).
Subjects/Keywords: Radio frequency (RF); SiGe; Cold land process; TaN; Microstrip model; Microstrip patch antenna; Flat-panel antenna; Thermal modeling; Thermal characterization of dielectric; Flip-chip bond; AlN; Microwave filter; Remote sensing; Integrated circuits; Microelectromechanical systems
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Donado Morcillo, C. A. (2012). Development of lightweight and low-cost microwave components for remote-sensing applications. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/51733
Chicago Manual of Style (16th Edition):
Donado Morcillo, Carlos Alberto. “Development of lightweight and low-cost microwave components for remote-sensing applications.” 2012. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/51733.
MLA Handbook (7th Edition):
Donado Morcillo, Carlos Alberto. “Development of lightweight and low-cost microwave components for remote-sensing applications.” 2012. Web. 22 Jan 2021.
Vancouver:
Donado Morcillo CA. Development of lightweight and low-cost microwave components for remote-sensing applications. [Internet] [Doctoral dissertation]. Georgia Tech; 2012. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/51733.
Council of Science Editors:
Donado Morcillo CA. Development of lightweight and low-cost microwave components for remote-sensing applications. [Doctoral Dissertation]. Georgia Tech; 2012. Available from: http://hdl.handle.net/1853/51733

Georgia Tech
5.
Baltazar, Jose A.
Polycyclic aromatic hydrocarbons: exploring new processes and materials for electronics.
Degree: PhD, Chemical and Biomolecular Engineering, 2013, Georgia Tech
URL: http://hdl.handle.net/1853/51787
► Graphene is a two-dimensional sp2 hybridized carbon lattice that is also the fundamental building block of graphite. Graphene has attracted significant interest recently due to…
(more)
▼ Graphene is a two-dimensional sp2 hybridized carbon lattice that is also the fundamental building block of graphite. Graphene has attracted significant interest recently due to its distinctive electrical, optical and mechanical properties. These properties have spurred research directed at modifying graphene for use in a variety of electronic, optoelectronic, and sensor technologies. However, before graphene can be used in products, it is necessary to find methods to tune, modify, grow and integrate graphene features while substantially boosting device performance and maintaining current processing compatibility and ease of integration with existing manufacturing infrastructure.
This dissertation focuses on developing techniques for controllably doping the graphene layer through scalable, industry friendly and simple chemical doping; using self-assembled monolayer compounds, photo-acid and photo-base generators, polymers and metal-organic species. We have, in fact, demonstrated simple p-n junctions fabricated in this manner. Characteristic I-V curves indicate the superposition of two separate Dirac points from the p and n regions, confirming an energy separation of neutrality points within the complementary regions; Raman studies of these methods have shown that these processes result in extremely low defect levels in the graphene. Our simple methods for producing patterned doping profiles in graphene films and devices open up a variety of new possibilities for forming complex doping profiles in a simple manner in graphene. This work can enable rapid testing, such as controlled work function tuning, complex doping profiles and simple post-fabrication tuning, of concepts for graphene that may be useful in both interconnect and transparent conductor applications.
In addition to graphene doping, we also investigated approaches to the synthesis of few-layer graphene flakes, since current techniques still produce inferior materials. Exfoliation of Graphene Sheets by an Electron Donor Surfactant was demonstrated to generate few-layers graphene flakes that rival the electrical quality of reduce graphene-oxide (rGO) flakes. Last but not least, Diels-Alder adducts on silica were explored as a controllable carbon precursor for pristine graphene; these allow for a rational direct-growth-of-graphene-on-surface reaction mediated by copper catalyst, without the use of flammable precursors, such as methane, that are used in current methods of chemical vapor deposition synthesis of graphene.
Advisors/Committee Members: Henderson, Clifford L. (advisor), Reichmanis, Elsa (committee member), Tolbert, Laren M. (committee member), Hess, Dennis W. (committee member), Graham, Samuel (committee member).
Subjects/Keywords: Graphene; Graphene doping; Graphene growth; Graphene exfoliation; Polycyclic aromatic hydrocarbons; Graphene; Self-assembly (Chemistry); Semiconductor doping
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Baltazar, J. A. (2013). Polycyclic aromatic hydrocarbons: exploring new processes and materials for electronics. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/51787
Chicago Manual of Style (16th Edition):
Baltazar, Jose A. “Polycyclic aromatic hydrocarbons: exploring new processes and materials for electronics.” 2013. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/51787.
MLA Handbook (7th Edition):
Baltazar, Jose A. “Polycyclic aromatic hydrocarbons: exploring new processes and materials for electronics.” 2013. Web. 22 Jan 2021.
Vancouver:
Baltazar JA. Polycyclic aromatic hydrocarbons: exploring new processes and materials for electronics. [Internet] [Doctoral dissertation]. Georgia Tech; 2013. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/51787.
Council of Science Editors:
Baltazar JA. Polycyclic aromatic hydrocarbons: exploring new processes and materials for electronics. [Doctoral Dissertation]. Georgia Tech; 2013. Available from: http://hdl.handle.net/1853/51787

Georgia Tech
6.
He, Christine Yi.
Viscous solvents as an environment for nucleic acid replication.
Degree: PhD, Chemical and Biomolecular Engineering, 2017, Georgia Tech
URL: http://hdl.handle.net/1853/59762
► Many hypotheses concerning the nature of early life assume that genetic information was once transferred through the template-directed synthesis of RNA, prior to the evolution…
(more)
▼ Many hypotheses concerning the nature of early life assume that genetic information was once transferred through the template-directed synthesis of RNA, prior to the evolution of genetically encoded protein synthesis. However, despite more than half a century of research into the chemical origins of nucleic acids, a robust route to the abiotic synthesis of nucleic acid polymers is unclear. In particular, identifying the earliest mechanism for enzyme-free replication of nucleic acids remains an elusive goal. A biophysical problem known as strand inhibition limits copying of a nucleic acid duplex: transferring information from a template sequence in the presence of its complementary strand is inhibited by the stability of the template duplex. Strand inhibition is a major bottleneck in understanding how sustained RNA replication evolved on the early Earth. In this thesis, I describe a robust, prebiotically plausible route to enzyme-free replication of nucleic acids, driven by hot/cool cycles in viscous environments. Viscous solvents enable kinetic trapping of a nucleic acid duplex as single strands, providing a time window for the assembly and ligation of oligonucleotide substrates on the single stranded templates. I have shown that viscous solvents can be utilized to overcome strand inhibition, enabling copying of a gene-length template duplex (>300 nt), a process which is highly unfavorable in aqueous conditions. Additionally, viscosity enables copying of an RNA duplex containing a hammerhead ribozyme motif, suggesting a potential route for the selection and amplification of catalytically active RNA on the prebiotic Earth.
Advisors/Committee Members: Grover, Martha (advisor), Hud, Nicholas (committee member), Styczynski, Mark (committee member), Loren Williams (committee member), Henderson, Clifford (committee member).
Subjects/Keywords: Viscosity; Nucleic acid replication; RNA world; Prebiotic chemistry; Chemical evolution; Origins of life
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
He, C. Y. (2017). Viscous solvents as an environment for nucleic acid replication. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/59762
Chicago Manual of Style (16th Edition):
He, Christine Yi. “Viscous solvents as an environment for nucleic acid replication.” 2017. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/59762.
MLA Handbook (7th Edition):
He, Christine Yi. “Viscous solvents as an environment for nucleic acid replication.” 2017. Web. 22 Jan 2021.
Vancouver:
He CY. Viscous solvents as an environment for nucleic acid replication. [Internet] [Doctoral dissertation]. Georgia Tech; 2017. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/59762.
Council of Science Editors:
He CY. Viscous solvents as an environment for nucleic acid replication. [Doctoral Dissertation]. Georgia Tech; 2017. Available from: http://hdl.handle.net/1853/59762

Georgia Tech
7.
Sokolov, Denis A.
Investigation of Graphene Formation from Graphite Oxide and Silicon Carbide.
Degree: PhD, Chemistry and Biochemistry, 2013, Georgia Tech
URL: http://hdl.handle.net/1853/53642
► Graphene is a novel two dimensional material that is revolutionizing many areas of science and it is no surprise that a significant amount of effort…
(more)
▼ Graphene is a novel two dimensional material that is revolutionizing many areas of science and it is no surprise that a significant amount of effort is dedicated to its investigation. One of the major areas of graphene research is the development of procedures for large scale production. Among many recently developed methodologies, graphene oxide reduction stands out as a straightforward and scalable procedure for producing final material with properties similar to those of graphene. Laser reduction of graphite oxide is one of the novel approaches for producing multilayer graphene, and this work describes a viable approach in detail. It is determined that a material which is comprised of a combination of laser reduced graphite oxide-coupled to an unreduced graphite oxide layers beneath it, produces a broadband photosensitive material. The efficiency of light conversion into electrical current is greatly dependent upon the oxygen content of the underlying graphite oxide. Developing novel ways for reducing graphite oxide is an ongoing effort. This work also presents a new method for achieving complete reduction of graphite oxide for producing predominantly sp2 hybridized material. This approach is based on the irradiation of graphite oxide with a high flux 3 keV Ar ion beam in vacuum. It is determined that the angle of irradiation greatly influences the final surface morphology of reduced graphite oxide. Also, multilayer epitaxial graphene growth on silicon carbide in ultra-high vacuum was investigated with quadrupole mass spectrometry (QMS). Subliming molecular and atomic species were monitored as a function of temperature and heating time. The grown films were characterized with X-ray photoelectron spectroscopy coupled with Ar ion depth profiling.
Advisors/Committee Members: Orlando,Thomas (advisor), Fernández, Facundo M. (committee member), First, Phillip (committee member), Henderson, Clifford (committee member), Tolbert, Laren M. (committee member).
Subjects/Keywords: SiC epitaxy; Raman; XPS; SEM; Ion reduction; QMS; Light sensor; Laser reduction; Graphite oxide; Graphene oxide
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Sokolov, D. A. (2013). Investigation of Graphene Formation from Graphite Oxide and Silicon Carbide. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/53642
Chicago Manual of Style (16th Edition):
Sokolov, Denis A. “Investigation of Graphene Formation from Graphite Oxide and Silicon Carbide.” 2013. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/53642.
MLA Handbook (7th Edition):
Sokolov, Denis A. “Investigation of Graphene Formation from Graphite Oxide and Silicon Carbide.” 2013. Web. 22 Jan 2021.
Vancouver:
Sokolov DA. Investigation of Graphene Formation from Graphite Oxide and Silicon Carbide. [Internet] [Doctoral dissertation]. Georgia Tech; 2013. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/53642.
Council of Science Editors:
Sokolov DA. Investigation of Graphene Formation from Graphite Oxide and Silicon Carbide. [Doctoral Dissertation]. Georgia Tech; 2013. Available from: http://hdl.handle.net/1853/53642

Georgia Tech
8.
Sivaram, Saujan Venkat.
The impact of surface chemistry on stable semiconductor nanowire growth.
Degree: PhD, Chemical and Biomolecular Engineering, 2015, Georgia Tech
URL: http://hdl.handle.net/1853/55509
► The vapor-liquid-solid (VLS) mechanism – whereby a liquid eutectic “catalyst” droplet collects precursor molecules (or atoms) from the vapor and directs crystallization of the solid…
(more)
▼ The vapor-liquid-solid (VLS) mechanism – whereby a liquid eutectic “catalyst” droplet collects precursor molecules (or atoms) from the vapor and directs crystallization of the solid nanowire – is a ubiquitous method for bottom-up nanowire synthesis. In this thesis, we use in situ infrared absorption spectroscopy to identify the previously unknown, yet critical, role of reactive surface intermediates on semiconductor nanowire synthesis. We quantitatively determine the surface coverage of hydrogen atoms by coupling operando measurements with a novel in situ surface titration and show these adsorbates are vital for stable Ge nanowire growth. In the second part of the thesis, we use in situ spectroscopy to explore the interplay between the supercooled AuGe catalyst state and surface chemistry. We find a strong correlation between loss of surface hydrogen and catalyst solidification. To unambiguously identify the influence of surface chemistry on the supercooled AuGe catalyst, we deliver atomic hydrogen to the nanowire sidewall, which prevents Au migration from the supercooled catalyst and preserves the liquid catalyst state in the absence of Ge2H6 flow. We conclude that solidification likely occurs via heterogeneous nucleation in the presence of solid particles near the trijunction region and present general strategies to maintain the supercooled catalyst state in other material systems. Our experiments identify a key chemical mechanism underlying nanowire growth via chemical vapor deposition and demonstrate that changes to surface bonding are critical to understand nanowire synthesis. The fundamental insights shown promise unprecedented control of nanowire structure and function by providing a chemical foundation for rational synthetic design.
Advisors/Committee Members: Filler, Michael A. (advisor), Grover, Martha A. (committee member), Henderson, Clifford L. (committee member), Fedorov, Andrei G. (committee member), Bongiorno, Angelo (committee member).
Subjects/Keywords: Nanowire; Semiconductor; Synthesis; Spectroscopy
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Sivaram, S. V. (2015). The impact of surface chemistry on stable semiconductor nanowire growth. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/55509
Chicago Manual of Style (16th Edition):
Sivaram, Saujan Venkat. “The impact of surface chemistry on stable semiconductor nanowire growth.” 2015. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/55509.
MLA Handbook (7th Edition):
Sivaram, Saujan Venkat. “The impact of surface chemistry on stable semiconductor nanowire growth.” 2015. Web. 22 Jan 2021.
Vancouver:
Sivaram SV. The impact of surface chemistry on stable semiconductor nanowire growth. [Internet] [Doctoral dissertation]. Georgia Tech; 2015. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/55509.
Council of Science Editors:
Sivaram SV. The impact of surface chemistry on stable semiconductor nanowire growth. [Doctoral Dissertation]. Georgia Tech; 2015. Available from: http://hdl.handle.net/1853/55509

Georgia Tech
9.
Jariwala, Amit Shashikant.
Modeling and process planning for exposure controlled projection lithography.
Degree: PhD, Mechanical Engineering, 2013, Georgia Tech
URL: http://hdl.handle.net/1853/51929
► A novel approach to microfabrication based on stereolithography was presented. This fabrication process is referred to as, ‘Exposure Controlled Projection Lithography’ (ECPL). In the ECPL…
(more)
▼ A novel approach to microfabrication based on stereolithography was presented. This fabrication process is referred to as, ‘Exposure Controlled Projection Lithography’ (ECPL). In the ECPL process, incident radiation, patterned by a dynamic mask, passes through a transparent substrate to cure photopolymer resin. By controlling the amount of exposure, the height field of the cured film can be controlled. An ECPL system was designed and assembled. Factors affecting the accuracy of the ECPL process in fabricating micron shaped features were identified and studied. A real-time in-situ photopolymerization monitoring system was designed and assembled within the ECPL system to identify the sources of variations present in the system. Parts are fabricated from the ECPL process because of polymerization (or cross-linking) of monomer resin using light energy. Photopolymerization is a complex process involving coupling between several phenomena. This process was modeled by utilizing an understanding of the known polymerization reaction kinetics with incorporating the effects of oxygen inhibition and diffusion. A material response model and a simulation tool to estimate the shape of a cured part resulting from photopolymerization was created. This model was used to formulate a process-planning method to estimate the manufacturing process inputs required to cure a part of desired shape and dimensions. The process planning method was validated through simulations and experiments.
Advisors/Committee Members: Rosen, David (advisor), Melkote, Shreyes N. (advisor), Breedveld, Victor (committee member), Das, Suman (committee member), Henderson, Clifford (committee member), Paredis, Christiaan J. J. (committee member).
Subjects/Keywords: Stereolithography; Oxygen inhibition; Microlenses; Process planning; Microfabrication; Microlithography; Microstructure; Rapid prototyping
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Jariwala, A. S. (2013). Modeling and process planning for exposure controlled projection lithography. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/51929
Chicago Manual of Style (16th Edition):
Jariwala, Amit Shashikant. “Modeling and process planning for exposure controlled projection lithography.” 2013. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/51929.
MLA Handbook (7th Edition):
Jariwala, Amit Shashikant. “Modeling and process planning for exposure controlled projection lithography.” 2013. Web. 22 Jan 2021.
Vancouver:
Jariwala AS. Modeling and process planning for exposure controlled projection lithography. [Internet] [Doctoral dissertation]. Georgia Tech; 2013. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/51929.
Council of Science Editors:
Jariwala AS. Modeling and process planning for exposure controlled projection lithography. [Doctoral Dissertation]. Georgia Tech; 2013. Available from: http://hdl.handle.net/1853/51929

Georgia Tech
10.
Peters, Andrew J.
Mesoscale simulation of block copolymer phase separation and directed self-assembly processes: Applications for semiconductor manufacturing.
Degree: PhD, Chemical and Biomolecular Engineering, 2015, Georgia Tech
URL: http://hdl.handle.net/1853/53860
► A molecular dynamics coarse-grained block copolymer (BCP) model was developed and used to studied directed self-assembly (DSA), especially in regards to applications for semiconductor manufacturing.…
(more)
▼ A molecular dynamics coarse-grained block copolymer (BCP) model was developed and used to studied directed self-assembly (DSA), especially in regards to applications for semiconductor manufacturing. Most of the thesis is spent investigating the effect that guiding layer properties and block copolymer properties have on line roughness and defect density in a BCP-DSA process. These two effects are perhaps the most critical in making BCP-DSA a cost efficient industrial process. It is found that guiding patterns have little effect on line roughness and in fact that the BCP heals the majority of roughness in the underlying pattern. BCP properties have a larger effect on line roughness. Segregation strength (as measured by χN, where χ is the Flory- Huggins interaction parameter and N is the degree of polymerization) resulted in a larger than expected increase in line roughness when χN was low. Polydispersity resulted in a moderate increase in line roughness. In regards to equilibrium defect density, free energy calculations showed that χ was the primary determining factor, not χN as many expected. Equilibrium defect density was found to decrease exponentially with increasing χ. Defect density is also found to scale exponentially with polydispersity. Concerning defect heal rate, which can increase the real defect rate of a process if said rate is too low, it is found that increasing χN linearly increased the barrier to defect healing, which means that the defect heal rate decreases exponentially. However, for thin films this is only true for χN > ~ 50. Below χN ~ 50, the barrier is approximately constant. These results give excellent guidance to the type of materials and processes necessary to optimize a BCP-DSA process. A simulation technique designed to more efficiently sample over energy barriers called protracted noise dynamics for polymer systems was developed and studied. It was found that a decrease in simulation time of up to 4 orders of magnitude was achieved. The effect of box size on allowable pitches for a lamellar forming BCP was derived and demonstrated. It was found that more elongated boxes yielded more possible pitches and more accurate results. A short study on the effect of multiblock copolymers on the location of the order-disorder transition was also carried out and it was found that multiblock copolymers had small effect on the ODT. The distribution of chain conformations was also calculated.
Advisors/Committee Members: Henderson, Clifford L. (advisor), Grover, Martha (committee member), Bucknall, David (committee member), Tolbert, Laren M. (committee member), Ludovice, Peter J. (committee member), Meredith, J. Carson (committee member).
Subjects/Keywords: Block copolymer directed self-assembly; Mesoscale simulation; Coarse-grained simulation; Semiconductor manufacturing; Integrated circuit
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Peters, A. J. (2015). Mesoscale simulation of block copolymer phase separation and directed self-assembly processes: Applications for semiconductor manufacturing. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/53860
Chicago Manual of Style (16th Edition):
Peters, Andrew J. “Mesoscale simulation of block copolymer phase separation and directed self-assembly processes: Applications for semiconductor manufacturing.” 2015. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/53860.
MLA Handbook (7th Edition):
Peters, Andrew J. “Mesoscale simulation of block copolymer phase separation and directed self-assembly processes: Applications for semiconductor manufacturing.” 2015. Web. 22 Jan 2021.
Vancouver:
Peters AJ. Mesoscale simulation of block copolymer phase separation and directed self-assembly processes: Applications for semiconductor manufacturing. [Internet] [Doctoral dissertation]. Georgia Tech; 2015. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/53860.
Council of Science Editors:
Peters AJ. Mesoscale simulation of block copolymer phase separation and directed self-assembly processes: Applications for semiconductor manufacturing. [Doctoral Dissertation]. Georgia Tech; 2015. Available from: http://hdl.handle.net/1853/53860

Georgia Tech
11.
Setzler, Brian Patrick.
Kinetic and transport modeling in proton exchange membrane fuel cells.
Degree: PhD, Chemical and Biomolecular Engineering, 2015, Georgia Tech
URL: http://hdl.handle.net/1853/55507
► The improvement of PEMFC performance and durability requires a quantitative understanding of the processes that cause performance losses. In this dissertation, two models are developed…
(more)
▼ The improvement of PEMFC performance and durability requires a quantitative understanding of the processes that cause performance losses. In this dissertation, two models are developed incorporating new processes that have been poorly described or neglected in previous literature sources (catalyst oxide layer, hardware effects, enhanced vapor diffusion, and interfacial saturation). In simulations of electrochemical impedance spectroscopy (EIS), the kinetic effect of the catalyst oxide layer is found to cause a large, low-frequency inductive loop in agreement with experiments. Accounting for the inductive loop unifies steady-state measurements of resistance with EIS measurements, solving a long-standing barrier to accurate interpretation of EIS. Furthermore, flooding losses due to two-phase water transport are one of the most poorly understood losses and are a major area for improvement. The addition of an interfacial saturation effect is found to provide the best explanation of flooding. Heat transfer is shown to be the controlling factor in the performance of PEMFCs under certain flooded conditions. The advancements of this dissertation in the modeling of the oxide layer and two-phase transport phenomena represent significant steps towards the goals of EIS analysis by physics-based model and a mathematical understanding of performance degradation due to carbon corrosion and flooding.
Advisors/Committee Members: Fuller, Thomas F. (advisor), Koros, William J. (committee member), Kohl, Paul A. (committee member), Henderson, Clifford L. (committee member), Alamgir, Faisal M. (committee member).
Subjects/Keywords: Proton exchange membrane fuel cell; Oxygen reduction reaction; Physics-based model; Electrochemical impedance spectroscopy; Two-phase transport; Fuel cell
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Setzler, B. P. (2015). Kinetic and transport modeling in proton exchange membrane fuel cells. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/55507
Chicago Manual of Style (16th Edition):
Setzler, Brian Patrick. “Kinetic and transport modeling in proton exchange membrane fuel cells.” 2015. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/55507.
MLA Handbook (7th Edition):
Setzler, Brian Patrick. “Kinetic and transport modeling in proton exchange membrane fuel cells.” 2015. Web. 22 Jan 2021.
Vancouver:
Setzler BP. Kinetic and transport modeling in proton exchange membrane fuel cells. [Internet] [Doctoral dissertation]. Georgia Tech; 2015. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/55507.
Council of Science Editors:
Setzler BP. Kinetic and transport modeling in proton exchange membrane fuel cells. [Doctoral Dissertation]. Georgia Tech; 2015. Available from: http://hdl.handle.net/1853/55507
12.
Dennis, Karla Ann.
Characterization of HFAPNB and PHOST as a polymer sensing layer in an interferometric evanescent wave sensor.
Degree: MS, Chemical Engineering, 2009, Georgia Tech
URL: http://hdl.handle.net/1853/29682
► This thesis will characterize the use of HFAPNB and PHOST as a sensing layer material for a fully integrated multi-mode interferometric evanescent waveguide. The compatibility…
(more)
▼ This thesis will characterize the use of HFAPNB and PHOST as a sensing layer material for a fully integrated multi-mode interferometric evanescent waveguide. The compatibility of HFAPNB and PHOST with standard CMOS and MEMS processing allowed us to explore their characteristics for sensing different analytes which included water, methanol, isopropyl alcohol, and benzene. The relative sensitivity of the polymer HFAPNB and PHOST to different chemicals has been quantified and related to a refractive index shift and thickness change of the polymers. Diffusion coefficients have also been quantified for both polymers and interaction models for each polymer and analyte has been determined to predict the concentration of a mixture of analytes.
Advisors/Committee Members: Henderson, Clifford L. (Committee Chair), Ludovice, Pete (Committee Member), Ralph, Stephen E. (Committee Member).
Subjects/Keywords: HFAPNB; PHOST; Polymer sensing layer; Evanescent wave sensor; Detectors; Chemical detectors; Benzene; Polymers
…the
Georgia Tech Electrical Engineering Department.
2.1.4 Interferometric waveguide
The… …Lillie also in the Electrical
Engineering department at Georgia Tech.
2.1.5 Chemically…
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Dennis, K. A. (2009). Characterization of HFAPNB and PHOST as a polymer sensing layer in an interferometric evanescent wave sensor. (Masters Thesis). Georgia Tech. Retrieved from http://hdl.handle.net/1853/29682
Chicago Manual of Style (16th Edition):
Dennis, Karla Ann. “Characterization of HFAPNB and PHOST as a polymer sensing layer in an interferometric evanescent wave sensor.” 2009. Masters Thesis, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/29682.
MLA Handbook (7th Edition):
Dennis, Karla Ann. “Characterization of HFAPNB and PHOST as a polymer sensing layer in an interferometric evanescent wave sensor.” 2009. Web. 22 Jan 2021.
Vancouver:
Dennis KA. Characterization of HFAPNB and PHOST as a polymer sensing layer in an interferometric evanescent wave sensor. [Internet] [Masters thesis]. Georgia Tech; 2009. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/29682.
Council of Science Editors:
Dennis KA. Characterization of HFAPNB and PHOST as a polymer sensing layer in an interferometric evanescent wave sensor. [Masters Thesis]. Georgia Tech; 2009. Available from: http://hdl.handle.net/1853/29682
13.
Kambly, Kiran.
Characterization of curing kinetics and polymerization shrinkage in ceramic-loaded photocurable resins for large area maskless photopolymerization (LAMP).
Degree: MS, Mechanical Engineering, 2009, Georgia Tech
URL: http://hdl.handle.net/1853/31740
► Large Area Maskless Photopolymerization (LAMP) is a direct digital manufacturing technology being developed at Georgia Tech to produce ceramic molds for investment casting of turbine…
(more)
▼ Large Area Maskless Photopolymerization (LAMP) is a direct digital manufacturing
technology being developed at
Georgia Tech to produce ceramic molds for investment
casting of turbine airfoils. In LAMP, UV light incident on a spatial light modulator is
projected in the form of a structured black and white bitmap image onto a platform
supporting slurry comprising a ceramic particle loaded photocurable resin. Curing of the
resin is completed rapidly with exposures lasting 20~160ms. Three-dimensional parts are
built layer-by-layer by sequentially applying and selectively curing resin layers of 25-100
micron thickness. In LAMP, diacrylate-based ceramic particle-loaded resins with
photoinitiators sensitive in the range of spectral characteristics of the UV source form the
basis for an ultra-fast photopolymerization reaction. At the start of the reaction, the
monomer molecules are separated by van der Waals distance (~10⁴Å). As the reaction
proceeds, these monomer molecules form a closely packed network thereby reducing
their separation to covalent bond lengths (~ 1 Å). This results in bulk contraction in the
cured resin, which accumulates as the part is fabricated layer-by-layer. The degree of
shrinkage is a direct measure of the number of covalent bonds formed. Thus, shrinkage in
LAMP is characterized by estimating the number of covalent bonds formed during the
photopolymerization reaction.
Polymerization shrinkage and accompanying stresses developed during
photopolymerization of ceramic particle-loaded resins in LAMP can cause deviations
from the desired geometry. The extent of deviations depends on the photoinitiator
concentration, the filler loading, the degree of monomer conversion, and the operating
parameters such as energy dose. An understanding of shrinkage and stresses built up in a
part can assist in developing source geometry compensation algorithms and exposure
strategies to alleviate these effects. In this thesis, an attempt has been made to understand
the curing kinetics of the reaction and its relation to the polymerization shrinkage. Realtime
Fourier Transform Infrared Spectroscopy (RTFTIR) is used to determine the
conversion of monomers into polymer networks by analyzing the changes in the chemical
bonds of the participating species of molecules. The conversion data can further be used
to estimate the curing kinetics of the reaction and the relative volumetric shrinkage strain
due to polymerization.
Advisors/Committee Members: Das, Suman (Committee Chair), Halloran, John (Committee Member), Henderson, Clifford (Committee Member), Kalaitzidou, Kyriaki (Committee Member).
Subjects/Keywords: Ceramic-filled resin; Photocurable; Fourier transform infrared spectroscopy (FTIR); Large area maskless photopolymerization (LAMP); Polymerization shrinkage; Photopolymerization; Gums and resins Curing; Aerofoils
…is a direct digital manufacturing
technology being developed at Georgia Tech to produce… …developed by the direct
digital manufacturing (DDM) laboratory at Georgia tech is shown…
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Kambly, K. (2009). Characterization of curing kinetics and polymerization shrinkage in ceramic-loaded photocurable resins for large area maskless photopolymerization (LAMP). (Masters Thesis). Georgia Tech. Retrieved from http://hdl.handle.net/1853/31740
Chicago Manual of Style (16th Edition):
Kambly, Kiran. “Characterization of curing kinetics and polymerization shrinkage in ceramic-loaded photocurable resins for large area maskless photopolymerization (LAMP).” 2009. Masters Thesis, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/31740.
MLA Handbook (7th Edition):
Kambly, Kiran. “Characterization of curing kinetics and polymerization shrinkage in ceramic-loaded photocurable resins for large area maskless photopolymerization (LAMP).” 2009. Web. 22 Jan 2021.
Vancouver:
Kambly K. Characterization of curing kinetics and polymerization shrinkage in ceramic-loaded photocurable resins for large area maskless photopolymerization (LAMP). [Internet] [Masters thesis]. Georgia Tech; 2009. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/31740.
Council of Science Editors:
Kambly K. Characterization of curing kinetics and polymerization shrinkage in ceramic-loaded photocurable resins for large area maskless photopolymerization (LAMP). [Masters Thesis]. Georgia Tech; 2009. Available from: http://hdl.handle.net/1853/31740
14.
Hernandez Moreno, Andres Felipe.
A metamodeling approach for approximation of multivariate, stochastic and dynamic simulations.
Degree: PhD, Chemical Engineering, 2012, Georgia Tech
URL: http://hdl.handle.net/1853/43690
► This thesis describes the implementation of metamodeling approaches as a solution to approximate multivariate, stochastic and dynamic simulations. In the area of statistics, metamodeling (or…
(more)
▼ This thesis describes the implementation of metamodeling approaches as a solution to approximate multivariate, stochastic and dynamic simulations. In the area of statistics, metamodeling (or ``model of a model") refers to the scenario where an empirical model is build based on simulated data. In this thesis, this idea is exploited by using pre-recorded dynamic simulations as a source of simulated dynamic data. Based on this simulated dynamic data, an empirical model is trained to map the dynamic evolution of the system from the current discrete time step, to the next discrete time step. Therefore, it is possible to approximate the dynamics of the complex dynamic simulation, by iteratively applying the trained empirical model. The rationale in creating such approximate dynamic representation is that the empirical models / metamodels are much more affordable to compute than the original dynamic simulation, while having an acceptable prediction error.
The successful implementation of metamodeling approaches, as approximations of complex dynamic simulations, requires understanding of the propagation of error during the iterative process. Prediction errors made by the empirical model at earlier times of the iterative process propagate into future predictions of the model. The propagation of error means that the trained empirical model will deviate from the expensive dynamic simulation because of its own errors. Based on this idea, Gaussian process model is chosen as the metamodeling approach for the approximation of expensive dynamic simulations in this thesis. This empirical model was selected not only for its flexibility and error estimation properties, but also because it can illustrate relevant issues to be considered if other metamodeling approaches were used for this purpose.
Advisors/Committee Members: Grover, Martha (Committee Chair), Henderson, Clifford (Committee Member), Realff, Matthew (Committee Member), Shamma, Jeff (Committee Member), Vengazhiyil, Roshan (Committee Member).
Subjects/Keywords: Metamodeling; Gaussian process model; System dynamics; System identification; Error estimation; Simulation methods; Gaussian processes
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Hernandez Moreno, A. F. (2012). A metamodeling approach for approximation of multivariate, stochastic and dynamic simulations. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/43690
Chicago Manual of Style (16th Edition):
Hernandez Moreno, Andres Felipe. “A metamodeling approach for approximation of multivariate, stochastic and dynamic simulations.” 2012. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/43690.
MLA Handbook (7th Edition):
Hernandez Moreno, Andres Felipe. “A metamodeling approach for approximation of multivariate, stochastic and dynamic simulations.” 2012. Web. 22 Jan 2021.
Vancouver:
Hernandez Moreno AF. A metamodeling approach for approximation of multivariate, stochastic and dynamic simulations. [Internet] [Doctoral dissertation]. Georgia Tech; 2012. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/43690.
Council of Science Editors:
Hernandez Moreno AF. A metamodeling approach for approximation of multivariate, stochastic and dynamic simulations. [Doctoral Dissertation]. Georgia Tech; 2012. Available from: http://hdl.handle.net/1853/43690
15.
Cheng, Jing.
Toward sub-10 nm lithographic processes: epoxy-based negative tone molecular resists and directed self-assembly (DSA) of high χ block copolymers.
Degree: PhD, Chemistry and Biochemistry, 2013, Georgia Tech
URL: http://hdl.handle.net/1853/49113
► It’s becoming more and more difficult to make smaller, denser, and faster computer chips. There’s an increasing demand to design new materials to be applied…
(more)
▼ It’s becoming more and more difficult to make smaller, denser, and faster computer chips. There’s an increasing demand to design new materials to be applied in current lithographic process to get higher patterning performance. In this work, the aqueous developable single molecule resists were introduced, synthesized and patterned. A new group of epoxide other than glycidyl ether, cyclohexene oxide was introduced to functionalize a molecular core and 15 nm resolution was obtained. The directed self-assembly (DSA) of block copolymers as an alternative lithographic technique has received growing interest in the last several years for performing higher levels of pitch subdivision. A 3-step simplified process for DSA by using a photodefinable substrate was introduced by using a functionalized polyphenol with an energy switchable group and a crosslinkable group. Two high χ block copolymers PS-b-PAA and PS-b-PHEMA were successfully designed and synthesized via ATRP with controlled Mw and PDI. The size of the same PS-b-PAA polymer was tunable by varying the thermal annealing time. PS-b-PHEMA shows to be a suitable block polymer for the industry-friendly thermal annealing process. A self-complementary hydrogen-bonding urea group as a center group was used to facilitate the self-assembly of polymers. “Click” chemistry is promising for synthesis of PS-Urea-Urea-PMMA.
Advisors/Committee Members: Tolbert, Laren M. (advisor), Henderson, Clifford L. (advisor), Collard, David (committee member), Perry, Joseph (committee member), Orlando, Thomas (committee member).
Subjects/Keywords: Lithography; Molecular resists; Block copolymers; Directed self-assembly; Block copolymers; Self-assembly (Chemistry); Photoresists
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Cheng, J. (2013). Toward sub-10 nm lithographic processes: epoxy-based negative tone molecular resists and directed self-assembly (DSA) of high χ block copolymers. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/49113
Chicago Manual of Style (16th Edition):
Cheng, Jing. “Toward sub-10 nm lithographic processes: epoxy-based negative tone molecular resists and directed self-assembly (DSA) of high χ block copolymers.” 2013. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/49113.
MLA Handbook (7th Edition):
Cheng, Jing. “Toward sub-10 nm lithographic processes: epoxy-based negative tone molecular resists and directed self-assembly (DSA) of high χ block copolymers.” 2013. Web. 22 Jan 2021.
Vancouver:
Cheng J. Toward sub-10 nm lithographic processes: epoxy-based negative tone molecular resists and directed self-assembly (DSA) of high χ block copolymers. [Internet] [Doctoral dissertation]. Georgia Tech; 2013. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/49113.
Council of Science Editors:
Cheng J. Toward sub-10 nm lithographic processes: epoxy-based negative tone molecular resists and directed self-assembly (DSA) of high χ block copolymers. [Doctoral Dissertation]. Georgia Tech; 2013. Available from: http://hdl.handle.net/1853/49113
16.
Yeh, Wei-Ming.
Pattern collapse in lithographic nanostructures: quantifying photoresist nanostructure behavior and novel methods for collapse mitigation.
Degree: PhD, Chemical and Biomolecular Engineering, 2013, Georgia Tech
URL: http://hdl.handle.net/1853/47696
► The Microelectronics industry has continuously pushed the limit of critical dimensions to sub-20 nm. One of the challenges is pattern collapse, caused by unbalanced capillary…
(more)
▼ The Microelectronics industry has continuously pushed the limit of critical dimensions to sub-20 nm. One of the challenges is pattern collapse, caused by unbalanced capillary forces during the final rinse and drying process. The use of surfactants offers a convenient method to reduce capillary forces but causes another deformation issue. This thesis work focuses on alternative approaches that are compatible with lithographic processes to mitigate pattern collapse. First, an e-beam lithography pattern with a series of varying line and space widths has been specifically designed in order to quantitatively study pattern collapse behavior. This pattern generates increasing stress in the pairs of resist lines as one moves across the pattern array and eventually a sufficiently small space value (critical space, S1c) is reached in each array such that the stress applied to the resist exceeds the critical stress (σc) required for pattern bending and subsequently feature deformation and collapse occurrs. The patterns we designed allow us to qualitatively and quantitatively study pattern collapse and obtain consistent, reproducible results.
In the first part of the thesis work, a quick surface crosslink (called a reactive rinse) that involves the strengthening of the resist using crosslinking via carbodiimide chemistry while the resist structures are still in their wet state, has been developed and demonstrated. This technique provides efficient and significant improvement on the pattern collapse issue. In the second part of the thesis work, a triethoxysilane compound, vinyl ether silane (VE), has been successfully synthesized. It can be used to modify the silicon or silicon nitride substrates and form a covalent bond with the resist film instead of manipulating the surface energies using common HMDS. Compared to traditional Hexamethyldisilazane (HMDS) vapor primed surfaces, the implementation of the VE adhesion promoter resulted in a significant improvement in the adhesion and resistance to adhesion based pattern collapse failure in small sub-60 nm resist features. In the third part of the thesis work, the effect of drying rates and drying methods has been systematically studied. SEM analysis and critical stress results showed that fast drying appear to reduce the resist collapse. The line pair orientations in each pattern array with respect to the wafer radius reveal an apparent effect of fluid flow and centrifugal forces on collapse. Finally, a comprehensive pattern collapse model that incorporates adhesion based pattern failure and elastoplastic deformation-based failure, and dimensionally dependent resist modulus properties has been developed. This model provides such an excellent prediction of the experimental data and supports the idea that this level of combined adhesion-failure and elastoplastic-failure based pattern collapse modeling, where one explicitly considers the dimensionally dependent mechanical properties of the resist can be quantitatively predictive and useful for understanding the pattern collapse…
Advisors/Committee Members: Henderson, Clifford (Committee Chair), Meredith, J. Carson (Committee Member), Hess, Dennis (Committee Member), Reichmanis, Elsa (Committee Member), Tolbert, Laren (Committee Member).
Subjects/Keywords: Photoresists; Pattern collapse; Polymers; Nanolithography; Nanotechnology; Nanostructured materials
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Yeh, W. (2013). Pattern collapse in lithographic nanostructures: quantifying photoresist nanostructure behavior and novel methods for collapse mitigation. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/47696
Chicago Manual of Style (16th Edition):
Yeh, Wei-Ming. “Pattern collapse in lithographic nanostructures: quantifying photoresist nanostructure behavior and novel methods for collapse mitigation.” 2013. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/47696.
MLA Handbook (7th Edition):
Yeh, Wei-Ming. “Pattern collapse in lithographic nanostructures: quantifying photoresist nanostructure behavior and novel methods for collapse mitigation.” 2013. Web. 22 Jan 2021.
Vancouver:
Yeh W. Pattern collapse in lithographic nanostructures: quantifying photoresist nanostructure behavior and novel methods for collapse mitigation. [Internet] [Doctoral dissertation]. Georgia Tech; 2013. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/47696.
Council of Science Editors:
Yeh W. Pattern collapse in lithographic nanostructures: quantifying photoresist nanostructure behavior and novel methods for collapse mitigation. [Doctoral Dissertation]. Georgia Tech; 2013. Available from: http://hdl.handle.net/1853/47696
17.
Thomas, Mikkel Andrey.
Integrated optical interferometric sensors on silicon and silicon cmos.
Degree: PhD, Electrical and Computer Engineering, 2008, Georgia Tech
URL: http://hdl.handle.net/1853/26674
► The main objective of this research is to fabricate and characterize an optically integrated interferometric sensor on standard silicon and silicon CMOS circuitry. An optical…
(more)
▼ The main objective of this research is to fabricate and characterize an optically integrated interferometric sensor on standard silicon and silicon CMOS circuitry. An optical sensor system of this nature would provide the high sensitivity and immunity to electromagnetic interference found in interferometric based sensors in a lightweight, compact package capable of being deployed in a multitude of situations inappropriate for standard sensor configurations. There are several challenges involved in implementing this system. These include the development of a suitable optical emitter for the sensor system, the interface between the various optically embedded components, and the compatibility of the Si CMOS with heterogeneous integration techniques. The research reported outlines a process for integrating an integrated sensor on Si CMOS circuitry using CMOS compatible materials, integration techniques, and emitter components.
Advisors/Committee Members: Jokerst, Nan (Committee Chair), Laskar, Joy (Committee Co-Chair), Doolittle, Alan (Committee Member), Henderson, Clifford (Committee Member), Michaels, Thomas (Committee Member), Ralph, Stephen (Committee Member).
Subjects/Keywords: GaAs/AlGaAs; Multiple quantum well laser; Sensor; Mach-Zehnder interferometer; Interferometry; Detectors; Dielectric wave guides; Semiconductor films; Thin films
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Thomas, M. A. (2008). Integrated optical interferometric sensors on silicon and silicon cmos. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/26674
Chicago Manual of Style (16th Edition):
Thomas, Mikkel Andrey. “Integrated optical interferometric sensors on silicon and silicon cmos.” 2008. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/26674.
MLA Handbook (7th Edition):
Thomas, Mikkel Andrey. “Integrated optical interferometric sensors on silicon and silicon cmos.” 2008. Web. 22 Jan 2021.
Vancouver:
Thomas MA. Integrated optical interferometric sensors on silicon and silicon cmos. [Internet] [Doctoral dissertation]. Georgia Tech; 2008. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/26674.
Council of Science Editors:
Thomas MA. Integrated optical interferometric sensors on silicon and silicon cmos. [Doctoral Dissertation]. Georgia Tech; 2008. Available from: http://hdl.handle.net/1853/26674
18.
Cheshmehkani, Ameneh.
Design and synthesis of molecular resists for high resolution patterning performance.
Degree: MS, Chemistry and Biochemistry, 2013, Georgia Tech
URL: http://hdl.handle.net/1853/50286
► In this thesis, different approaches in synthesizing molecular resist are examined, and structure-property relations for the molecular resist properties are studied. This allows for design…
(more)
▼ In this thesis, different approaches in synthesizing molecular resist are examined, and structure-property relations for the molecular resist properties are studied. This allows for design of resists that could be studied further as either negative or positive tone resists in photolithography. A series of compounds having different number of acrylate moiety, and different backbones were investigated for photoresist application. Thermal curing of acrylate compounds in organic solvent was also examined. Film shrinkage, as well as auto-polymerization was observed for these compounds that make them unsuitable as photoresist material. Furthermore, calix[4]resorcinarenes (C4MR) was chosen as backbone, and the functional groups was selected as oxetane and epoxy. Full functionalized C4MR compounds with oxetane, epoxy and allyl were synthesized. Variable-temperature NMR of C4MR-8Allyl was studied in order to get a better understanding of the structure’s conformers. Energy barrier of exchange (ΔG#) was determined from coalescence temperatures, and was 57.4 KJ/mol for aromatic and vinyl hydrogens and 62.1 KJ/mol for allylic hydrogens.
Advisors/Committee Members: Henderson, Clifford L. (advisor), Tolbert, Laren M. (advisor), Reynolds, John R. (committee member), Hess, Dennis W. (committee member), Collard, David (committee member).
Subjects/Keywords: Photoresist; Lithography; Calixarene; Oxetane; Epoxide; Acrylate; Photoresists; Masks (Electronics); Photolithography
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Cheshmehkani, A. (2013). Design and synthesis of molecular resists for high resolution patterning performance. (Masters Thesis). Georgia Tech. Retrieved from http://hdl.handle.net/1853/50286
Chicago Manual of Style (16th Edition):
Cheshmehkani, Ameneh. “Design and synthesis of molecular resists for high resolution patterning performance.” 2013. Masters Thesis, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/50286.
MLA Handbook (7th Edition):
Cheshmehkani, Ameneh. “Design and synthesis of molecular resists for high resolution patterning performance.” 2013. Web. 22 Jan 2021.
Vancouver:
Cheshmehkani A. Design and synthesis of molecular resists for high resolution patterning performance. [Internet] [Masters thesis]. Georgia Tech; 2013. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/50286.
Council of Science Editors:
Cheshmehkani A. Design and synthesis of molecular resists for high resolution patterning performance. [Masters Thesis]. Georgia Tech; 2013. Available from: http://hdl.handle.net/1853/50286
19.
Vargas Morales, Juan Manuel.
Towards a low temperature synthesis of graphene with small organic molecule precursors.
Degree: PhD, Chemistry and Biochemistry, 2013, Georgia Tech
URL: http://hdl.handle.net/1853/50278
► Graphene, a 2D honeycomb lattice of sp² hybridized carbons, has attracted the attention of the scientific community not only for its interesting theoretical properties but…
(more)
▼ Graphene, a 2D honeycomb lattice of sp² hybridized carbons, has attracted the attention of the scientific community not only for its interesting theoretical properties but also for its myriad of possible applications. The discovery of graphene led to the Nobel Prize in physics for 2010 to be awarded to Andrei Geim and Konstantin Novoselov.
Since its discovery, many methods have been developed for the synthesis of this material. Two of those methods stand out for the growth of high quality and large area graphene sheets, namely, epitaxial growth from silicon carbide (SiC) and chemical vapor deposition (CVD). As it stands today, both methods make use of high concentrations of hydrogen (10-20%) in N₂ or Ar, high temperatures, and a vacuum system. Epitaxial growth from SiC in addition requires very expensive single crystal SiC wafers. In the case of CVD, organic molecules are used as the carbon source to grow graphene on a metal substrate. Although graphene has been grown on many metal substrates, the experiments highlighted here make use of copper as the metal substrate of choice since it offers the advantage of availability, low price, and, most importantly, because this substrate is self-limiting in other words, it mostly grows single layer graphene. Because the CVD method provides with a choice as for the carbon source to use, the following question arises: can a molecule, either commercially available or synthesized, be used as a carbon source that would allow for the synthesis of graphene under low temperatures, low concentrations of hydrogen and at atmospheric pressure?
This dissertation focuses on the synthesis of graphene at lower temperatures by using carbon sources with characteristics that might make this possible. It also focuses on the use of forming gas (3% H₂ and 97% N₂ or Ar) in order to make the overall process a lot safer and cost effective. This dissertation contains two chapters on the synthesis of organic molecules of interest, and observations about their reactivity are included.
CVD experiments were performed at atmospheric pressure, and under vacuum. In both instances forming gas was used as the annealing and carrier gas. Results from CVD at atmospheric pressure (CVDAP), using organic solvents as carbon sources, show that at 1000℃, low quality graphene was obtained. On the other hand, CVD experiments using a vacuum in the range of 25 mTorr to 1 Torr successfully produced good quality graphene. For graphene growth under vacuum conditions, commercially available and synthesized compounds were used. Attempts at growing graphene at 600℃ from the same carbon sources only formed amorphous carbon. These results point to the fact that good quality graphene can basically be grown from any carbonaceous material as long as the growth temperature is 1000℃ and the system is under vacuum.
In addition to the synthesis of graphene at low temperatures, there is a great amount of interest on the synthesis of graphene nanoribbons (GNR’s) and, as with graphene, several approaches to their synthesis have been…
Advisors/Committee Members: Tolbert, Laren M. (advisor), Henderson, Clifford L. (committee member), Collard, David M. (committee member), Bredas, Jean-Luc (committee member), Chance, Ronald R. (committee member), Srinivasarao, Mohan (committee member).
Subjects/Keywords: Graphene; Chemical vapor deposition; Nanotubes; Graphene nanoribbons; Graphene Synthesis
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Vargas Morales, J. M. (2013). Towards a low temperature synthesis of graphene with small organic molecule precursors. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/50278
Chicago Manual of Style (16th Edition):
Vargas Morales, Juan Manuel. “Towards a low temperature synthesis of graphene with small organic molecule precursors.” 2013. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/50278.
MLA Handbook (7th Edition):
Vargas Morales, Juan Manuel. “Towards a low temperature synthesis of graphene with small organic molecule precursors.” 2013. Web. 22 Jan 2021.
Vancouver:
Vargas Morales JM. Towards a low temperature synthesis of graphene with small organic molecule precursors. [Internet] [Doctoral dissertation]. Georgia Tech; 2013. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/50278.
Council of Science Editors:
Vargas Morales JM. Towards a low temperature synthesis of graphene with small organic molecule precursors. [Doctoral Dissertation]. Georgia Tech; 2013. Available from: http://hdl.handle.net/1853/50278
20.
Breaux, Caleb Lamar.
Investigation into obstacles to the implementation of the directed-self assembly of block copolymers.
Degree: PhD, Chemical and Biomolecular Engineering, 2018, Georgia Tech
URL: http://hdl.handle.net/1853/59912
► To meet the growing demands of the microelectronics industry and their desire to continue Moore’s Law, a variety of routes to extend or replace optical…
(more)
▼ To meet the growing demands of the microelectronics industry and their desire to continue Moore’s Law, a variety of routes to extend or replace optical lithography have been
suggested. Among these options is the directed self-assembly (DSA) of block copolymers
(BCPs) which have the ability to microphase separate into features with spacings as
low as sub-10 nm. The DSA of these features are typically achieved by graphoepitaxy
or chemoepitaxy which use either topography in the substrate or chemically preferential
pre-patterns in the substrate, respectively, to direct the BCP’s phase separation. Despite the
use of these techniques, BCPs suffer from several roadblocks to their implementation in
chip manufacturing including production of adequate BCP materials, high line edge roughness (LER) and line width roughness (LWR), and high defect densities. This work explores possible reasons for high LER, LWR, and defects using coarse-grained molecular dynamics and expands the library of BCP materials by synthesizing two new BCPs. Chapter 3 uses simulations to investigate the effect pinning stripe position, density multiplication, and defect order on the relative free energy of dislocation defects for BCP films
on chemoepitaxial underlayers. In Chapter 4 the effect of homopolymer concentration on LER and LWR is explored for BCP/homopolymer blends. Chapter 5 and 6 show the synthesis and characterization of Poly(4-tertbutyl styrene)-block-Poly(propyl methacrylate)
(PtBS-b-PPMA) and PtBS-b-Poly(2-hydroxyethyl methacrylate) (PtBS-b-PHEMA), respectively. PtBS-b-PPMA is a new low X BCP that may be useful in applications such as photonic crystals and filtration membranes as well as in discerning the relationship between defect annihilation rates and X. PtBS-b-PHEMA is a new high X BCP that has shown via SAXS profiles to be able to phase separate into features with a sub-7 nm pitch.
xxi
Advisors/Committee Members: Henderson, Clifford L. (advisor), Ludovice, Peter J. (advisor), Meredith, Carson J. (committee member), Lin, Zhiqun (committee member), Bucknall, David (committee member).
Subjects/Keywords: Directed self-assembly; Block copolymers; Synthesis; Defects; SAXS; blends
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Breaux, C. L. (2018). Investigation into obstacles to the implementation of the directed-self assembly of block copolymers. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/59912
Chicago Manual of Style (16th Edition):
Breaux, Caleb Lamar. “Investigation into obstacles to the implementation of the directed-self assembly of block copolymers.” 2018. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/59912.
MLA Handbook (7th Edition):
Breaux, Caleb Lamar. “Investigation into obstacles to the implementation of the directed-self assembly of block copolymers.” 2018. Web. 22 Jan 2021.
Vancouver:
Breaux CL. Investigation into obstacles to the implementation of the directed-self assembly of block copolymers. [Internet] [Doctoral dissertation]. Georgia Tech; 2018. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/59912.
Council of Science Editors:
Breaux CL. Investigation into obstacles to the implementation of the directed-self assembly of block copolymers. [Doctoral Dissertation]. Georgia Tech; 2018. Available from: http://hdl.handle.net/1853/59912
21.
Nation, Benjamin.
Directed self assembly of block copolymers.
Degree: PhD, Chemical and Biomolecular Engineering, 2017, Georgia Tech
URL: http://hdl.handle.net/1853/58741
► Block copolymer (BCP) directed self-assembly (DSA) is currently being explored as a potential method for producing smaller features in the integrated circuit fabrication industry. However,…
(more)
▼ Block copolymer (BCP) directed self-assembly (DSA) is currently being explored as a potential method for producing smaller features in the integrated circuit fabrication industry. However, many challenges must be overcome before this can occur, including lower line edge and width roughness, increasing pattern registration, and lowering defectivity. A coarse-grained molecular dynamics model is used to look various aspects of this problem. Additionally, a new simulation technique, called protracted colored noise dynamics (PCND), is introduced that helps polymeric molecular dynamics simulations cross energetic barriers more easily. There is a particular focus on how the design of guiding underlayers affects BCP-DSA performance. The design of chemoepitaxial guiding underlayers is considered and the resulting defectivity is measured for both symmetric BCPs and asymmetric BCPs. The free energy of defects on guiding underlayers is also explored for various sizes of defects. The effect the design of chemoepitaxial guiding underlayers has on line edge and width roughness, as well as variations in line width through depth are considered. Finally, hybrid chemoepitaxial and graphoepitaxial guiding underlayers are explored as a potential method for lowering defectivity.
Advisors/Committee Members: Henderson, Clifford L. (advisor), Ludovice, Peter J. (advisor), Meredith, Carson (committee member), Bucknall, David (committee member), Medford, Andrew J. (committee member).
Subjects/Keywords: Block copolymer; Directed self-assembly; Coarse-grained; Molecular dynamics; Simulation; Chemoepitaxy; Graphoepitaxy; Thermodynamic integration; Free energy; Dislocation; Defect
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Nation, B. (2017). Directed self assembly of block copolymers. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/58741
Chicago Manual of Style (16th Edition):
Nation, Benjamin. “Directed self assembly of block copolymers.” 2017. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/58741.
MLA Handbook (7th Edition):
Nation, Benjamin. “Directed self assembly of block copolymers.” 2017. Web. 22 Jan 2021.
Vancouver:
Nation B. Directed self assembly of block copolymers. [Internet] [Doctoral dissertation]. Georgia Tech; 2017. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/58741.
Council of Science Editors:
Nation B. Directed self assembly of block copolymers. [Doctoral Dissertation]. Georgia Tech; 2017. Available from: http://hdl.handle.net/1853/58741
22.
Narcross, Hannah.
Negative tone epoxide molecular resists and materials for next generation lithography.
Degree: PhD, Chemistry and Biochemistry, 2019, Georgia Tech
URL: http://hdl.handle.net/1853/61699
► The ability to quickly and accurately form nanoscale two-dimensional structures is critical for the high-volume manufacturing of semiconductors and microelectronic devices. Significant progress has been…
(more)
▼ The ability to quickly and accurately form nanoscale two-dimensional structures is critical for the high-volume manufacturing of semiconductors and microelectronic devices. Significant progress has been made in developing new exposure sources for next-generation lithography, but scaling challenges, especially at sub-20-nm features will require new materials capable of meeting the strict performance requirements laid out by the International Technology Roadmap for Semiconductors. Organic molecular resists have been proposed as a possible alternative to traditional polymeric photoresists due in part to their smaller molecular weight and narrower dispersity, but have yet to meet the necessary resolution, line edge roughness, and sensitivity standards for next-generation lithography. One promising type of organic resists are negative-tone photoresists based on the cationic polymerization of epoxides, due to their resistance to pattern collapse which is a common source of patterning failure at sub-100-nm length scales. This thesis will discuss some of the research that has been conducted on understanding structure-property relationships governing the patterning performance of these materials and developing novel additives to improve their performance including cross-linkable photoacid generators capable of use at ultra-high loadings to improve sensitivity and line edge roughness, and phenol-functionalized polymerization control additives to improve resolution. An alternative lithographic technique that has attracted growing interest over the years is the directed self-assembly (DSA) of block copolymers which offers a means to extend the use of older lithographic technologies or be used complementarily with newer exposure sources. DSA requires that a substrate be patterned chemically (chemoepitaxy) and/or topographically (graphoepitaxy) with guiding patterns in order to form lithographically useful orientations of morphologies with long range order and low defectivity. This thesis will also discuss some progress made towards developing a non-chemically amplified photodefinable underlayer which can have arbitrary guiding patterns directly written onto it using current (298 and 193 nm) or next-generation (extreme ultraviolet and electron-beam) exposure sources.
Advisors/Committee Members: Henderson, Clifford L. (advisor), Ludovice, Peter J. (advisor), Tolbert, Laren M. (advisor), Bucknall, David G. (committee member), Collard, David M. (committee member), Silva, Carlos (committee member).
Subjects/Keywords: Lithography; Photoresists; Molecular resists; Negative tone; E-beam lithography; EUV lithography
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Narcross, H. (2019). Negative tone epoxide molecular resists and materials for next generation lithography. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/61699
Chicago Manual of Style (16th Edition):
Narcross, Hannah. “Negative tone epoxide molecular resists and materials for next generation lithography.” 2019. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/61699.
MLA Handbook (7th Edition):
Narcross, Hannah. “Negative tone epoxide molecular resists and materials for next generation lithography.” 2019. Web. 22 Jan 2021.
Vancouver:
Narcross H. Negative tone epoxide molecular resists and materials for next generation lithography. [Internet] [Doctoral dissertation]. Georgia Tech; 2019. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/61699.
Council of Science Editors:
Narcross H. Negative tone epoxide molecular resists and materials for next generation lithography. [Doctoral Dissertation]. Georgia Tech; 2019. Available from: http://hdl.handle.net/1853/61699

Georgia Tech
23.
Cannon, Andrew Hampton.
Unconventional Microfabrication Using Polymers.
Degree: MS, Mechanical Engineering, 2006, Georgia Tech
URL: http://hdl.handle.net/1853/19845
► Current microfabrication materials include silicon, a wide variety of metals, dielectrics, and some polymers. Because of the low cost and high processing flexibility that polymers…
(more)
▼ Current microfabrication materials include silicon, a wide variety of metals, dielectrics, and some polymers. Because of the low cost and high processing flexibility that polymers generally have, expanding the use of polymers in microfabrication would benefit the microfabrication community, enabling new routes towards goals such as low-cost 3D microfabrication.
This work describes two main unconventional uses of polymers in microfabrication. The first unconventional use is as a carrier material in the self-assembly (SA) of millimeter-scale parts in which functional electronic components and electrical interconnects were cast into 5 mm cubes of Polymethylmethacrylate (PMMA). The second unconventional use is as a non-flat micromold for an alumina ceramic and as transfer material for multiple layers of micropatterned carbon nanotubes (CNTs). Both of these uses demonstrate 3D low-cost microfabrication routes.
In the SA chapter, surface forces induced both gross and fine alignment of the PMMA cubes. The cubes were bonded using low-melting temperature solder, resulting in a self-assembled 3D circuit of LEDs and capacitors. The PMMA-encasulated parts were immersed in methyl methacrylate (MMA) to dissolve the PMMA, showing the possibility of using MEMS devices with moving parts such as mechanical actuators or resonators. This technique could be expanded for assembly of systems having more than 104 components. The ultimate goal is to combine a large number of diverse active components to allow the manufacture of systems having dense integrated functionality.
The ceramic micromolding chapter explores micromolding fabrication of alumina ceramic microstructures on flat and curved surfaces, transfer of carbon nanotube (CNT) micropatterns into the ceramic, and oxidation inhibition of these CNTs through ceramic encapsulation. Microstructured master mold templates were fabricated from etched silicon, embossed thermally sacrificial polymer, and flexible polydimethylsiloxane (PDMS). The polymer templates were themselves made from silicon masters. Thus, once the master is produced, no further access to a microfabrication facility is required. Using the flexible PDMS molds, ceramic structures with mm-scale curvature were fabricated having microstructures on either the inside or outside of the curved macrostructure. It was possible to embed CNTs into the ceramic microstructures. To do this, micropatterned CNTs on silicon were transferred to ceramic via vacuum molding. Multilayered micropatterned CNT-ceramic devices were fabricated, and CNT electrical traces were encapsulated with ceramic to inhibit oxidation. During oxidation trials, encapsulated CNT traces showed an increase in resistance that was 62% less than those that were not encapsulated.
Advisors/Committee Members: King, William (Committee Chair), Graham, Samuel (Committee Member), Henderson, Clifford (Committee Member).
Subjects/Keywords: Carbon nanotubes; Ceramic; Self-assembly; Polymers; Microfabrication; Microfabrication; Polymers
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Cannon, A. H. (2006). Unconventional Microfabrication Using Polymers. (Masters Thesis). Georgia Tech. Retrieved from http://hdl.handle.net/1853/19845
Chicago Manual of Style (16th Edition):
Cannon, Andrew Hampton. “Unconventional Microfabrication Using Polymers.” 2006. Masters Thesis, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/19845.
MLA Handbook (7th Edition):
Cannon, Andrew Hampton. “Unconventional Microfabrication Using Polymers.” 2006. Web. 22 Jan 2021.
Vancouver:
Cannon AH. Unconventional Microfabrication Using Polymers. [Internet] [Masters thesis]. Georgia Tech; 2006. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/19845.
Council of Science Editors:
Cannon AH. Unconventional Microfabrication Using Polymers. [Masters Thesis]. Georgia Tech; 2006. Available from: http://hdl.handle.net/1853/19845

Georgia Tech
24.
Saxena, Shubham.
Nanolithography on thin films using heated atomic force microscope cantilevers.
Degree: MS, Mechanical Engineering, 2006, Georgia Tech
URL: http://hdl.handle.net/1853/14071
► Nanotechnology is expected to play a major role in many technology areas including electronics, materials, and defense. One of the most popular tools for nanoscale…
(more)
▼ Nanotechnology is expected to play a major role in many technology areas including electronics, materials, and defense. One of the most popular tools for nanoscale surface analysis is the atomic force microscope (AFM). AFM can be used for surface manipulation along with surface imaging.
The primary motivation for this research is to demonstrate AFM-based lithography on thin films using cantilevers with integrated heaters. These thermal cantilevers can control the temperature at the end of the tip, and hence they can be used for local in-situ thermal analysis. This research directly addresses applications like nanoscale electrical circuit fabrication/repair and thermal analysis of thin-films. In this study, an investigation was performed on two thin-film materials. One of them is co-polycarbonate, a variant of a polymer named polycarbonate, and the other is an energetic material called pentaerythritol tetranitrate (PETN).
Experimental methods involved in the lithography process are discussed, and the results of lithographic experiments performed on co-polycarbonate and PETN are reported. Effects of dominant parameters during lithography experiments like time, temperature, and force are investigated. Results of simulation of the interface temperature between thermal cantilever tip and thin film surface, at the beginning of the lithography process, are also reported.
Advisors/Committee Members: King, William Paul (Committee Chair), Henderson, Clifford L (Committee Co-Chair), Gall, Ken (Committee Member).
Subjects/Keywords: Characterization; Deflection; Setpoint; Grain rearrangement; Array; Precise positioning; Metrology; Explosion; Explosives; Data storage; Material; Image processing; Slow scan disabled; Temperature; Tip; Local; In-situ; Nanoscale; Electrical circuit fabrication; Repair; Co-polycarbonate; Polymers; Polycarbonates; Energetic materials; PETN; Simulation; Interface; Nano-manufacturing; Experiment; Calibration; Raman; Frequency; Deflagration; Decomposition; Detonation; Build up; Pile-up; End capped; End capping; Cross linked; Cross-linked; Conductivity; Microscale; MEMS; Microcantilever; NEMS; DPN; tDPN; Silicon; Glass; Peak; InVOLS; Manipulation; AFM; Atomic force microscope; Defense; Nanoscale; Surface; Stiffness; Force; Scan size; Thermal cantilevers; Imaging; Lithography; Nanolithography; Thin films; Cantilevers; Heaters; Technology; Nanotechnology; Scan velocity; Scan rate; Bake; Anneal; Pentaerythritol tetranitrate; Thin films Thermal properties; Atomic force microscopy; Microlithography; Nanotechnology; Surfaces (Technology) Analysis
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Saxena, S. (2006). Nanolithography on thin films using heated atomic force microscope cantilevers. (Masters Thesis). Georgia Tech. Retrieved from http://hdl.handle.net/1853/14071
Chicago Manual of Style (16th Edition):
Saxena, Shubham. “Nanolithography on thin films using heated atomic force microscope cantilevers.” 2006. Masters Thesis, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/14071.
MLA Handbook (7th Edition):
Saxena, Shubham. “Nanolithography on thin films using heated atomic force microscope cantilevers.” 2006. Web. 22 Jan 2021.
Vancouver:
Saxena S. Nanolithography on thin films using heated atomic force microscope cantilevers. [Internet] [Masters thesis]. Georgia Tech; 2006. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/14071.
Council of Science Editors:
Saxena S. Nanolithography on thin films using heated atomic force microscope cantilevers. [Masters Thesis]. Georgia Tech; 2006. Available from: http://hdl.handle.net/1853/14071

Georgia Tech
25.
Remmert, Jessica Lynn.
Nano Thermal and Contact Potential Analysis with Heated Probe Tips.
Degree: MS, Mechanical Engineering, 2007, Georgia Tech
URL: http://hdl.handle.net/1853/14585
► This work describes two closed-loop atomic force microscopy methods that utilize the heated silicon probe to interrogate surfaces. The first method identifies the softening temperatures…
(more)
▼ This work describes two closed-loop atomic force microscopy methods that utilize the heated silicon probe to interrogate surfaces. The first method identifies the softening temperatures of a selected polymer and organic substrate as a function of contact force and surface hardness. Motivation partly stems from nanosampling, which requires knowledge of phase-specific transitions to identify and extract mass from multicomponent systems for chemical analysis. In the second method, the cantilever is implemented as a Kelvin probe to study the effect of temperature on the measured contact potential. The objective is to ascertain whether the probe functions as a capable electrode for scanning Kelvin probe microscopy (SKPM) applications. This was achieved by performing heated force-distance experiments on a biased gold film with the tip operating at various potentials. Both experiments examine the interaction between the tip and substrate and analyze sample effects both induced and sensed by the cantilever.
Advisors/Committee Members: King, William (Committee Chair), Graham, Samuel (Committee Member), Henderson, Clifford (Committee Member).
Subjects/Keywords: Atomic force microscopy; Heated silicon cantilever; Local thermal analysis; Contact potential analysis; Kelvin probe; Atomic force microscopy; Probes (Electronic instruments)
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Remmert, J. L. (2007). Nano Thermal and Contact Potential Analysis with Heated Probe Tips. (Masters Thesis). Georgia Tech. Retrieved from http://hdl.handle.net/1853/14585
Chicago Manual of Style (16th Edition):
Remmert, Jessica Lynn. “Nano Thermal and Contact Potential Analysis with Heated Probe Tips.” 2007. Masters Thesis, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/14585.
MLA Handbook (7th Edition):
Remmert, Jessica Lynn. “Nano Thermal and Contact Potential Analysis with Heated Probe Tips.” 2007. Web. 22 Jan 2021.
Vancouver:
Remmert JL. Nano Thermal and Contact Potential Analysis with Heated Probe Tips. [Internet] [Masters thesis]. Georgia Tech; 2007. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/14585.
Council of Science Editors:
Remmert JL. Nano Thermal and Contact Potential Analysis with Heated Probe Tips. [Masters Thesis]. Georgia Tech; 2007. Available from: http://hdl.handle.net/1853/14585

Georgia Tech
26.
Boddapati, Aparna.
Modeling cure depth during photopolymerization of multifunctional acrylates.
Degree: MS, Chemical Engineering, 2010, Georgia Tech
URL: http://hdl.handle.net/1853/33934
► The photopolymerization of multifunctional acrylates leads to the formation of a complex and insoluble network due to cross-linking. This characteristic is a useful property for…
(more)
▼ The photopolymerization of multifunctional acrylates leads to the formation of a complex and insoluble network due to cross-linking. This characteristic is a useful property for stereolithography applications, where solid parts of the desired shape are cured using a pre-determined energy exposure profile. Traditionally, the required energy exposure is determined using a critical energy – depth of penetration, or Ec – Dp, model. The parameters Ec and Dp, are usually fit to experimental data at a specific resin composition and cure intensity. As a result, since the Ec – Dp model does not explicitly incorporate cure kinetics, it cannot be used for a different set of process conditions without first obtaining experimental data at the new conditions. Thus, the Ec – Dp model does not provide any insight when a new process needs to be developed, and the best processing conditions are unknown.
The kinetic model for multifunctional acrylate photopolymerization presented here is based on a set of ordinary differential equations (ODE), which can be used to predict part height versus exposure condition across varying resin compositions. Kinetic parameter information used in the model is obtained by fitting the model to double bond conversion data from Fourier Transform Infrared Spectroscopy (FTIR) measurements. An additional parameter, the critical conversion value, is necessary for determining the formation of a solid part of the desired height. The initial rate of initiation, Ri, combines all the factors that impact part height, and therefore, it is an important quantity that is required in order to find the critical conversion value. The critical conversion value is estimated using the Ri and Tgel value from microrheology measurements.
Information about network connectivity, which can be used to get properties such as molecular weight, cannot be derived from models using traditional mass-action kinetics for the cross-linking system. Therefore, in addition to modeling the reaction using the ODE based model, the results from a statistical model based on Kinetic Monte Carlo (KMC) principles are also shown here. The KMC model is applicable in situations where the impact of chain length on the kinetics or molecular weight evolution is of interest. For the present project, the detailed information from network connectivity was not required to make part height predictions, and the conversion information from the ODE model was sufficient.
The final results show that the kinetic ODE model presented here, based on the critical conversion value, captures the impact of process parameters such as initiator concentration, light intensity, and exposure time, on the final part height of the object. In addition, for the case of blanket cure samples, the part height predictions from the ODE model make comparable predictions to the Ec – Dp model. Thus, the ODE model presented here is a versatile tool that can be used to determine optimum operating conditions during process development.
Advisors/Committee Members: Grover, Martha (Committee Chair), Henderson, Clifford (Committee Chair), Beckham, Haskell (Committee Member).
Subjects/Keywords: Kinetic Monte Carlo; Degree of Cure; Acrylate kinetics; Stereolithography; Photopolymerization; Acrylates; Chemical kinetics; Differential equations
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Boddapati, A. (2010). Modeling cure depth during photopolymerization of multifunctional acrylates. (Masters Thesis). Georgia Tech. Retrieved from http://hdl.handle.net/1853/33934
Chicago Manual of Style (16th Edition):
Boddapati, Aparna. “Modeling cure depth during photopolymerization of multifunctional acrylates.” 2010. Masters Thesis, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/33934.
MLA Handbook (7th Edition):
Boddapati, Aparna. “Modeling cure depth during photopolymerization of multifunctional acrylates.” 2010. Web. 22 Jan 2021.
Vancouver:
Boddapati A. Modeling cure depth during photopolymerization of multifunctional acrylates. [Internet] [Masters thesis]. Georgia Tech; 2010. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/33934.
Council of Science Editors:
Boddapati A. Modeling cure depth during photopolymerization of multifunctional acrylates. [Masters Thesis]. Georgia Tech; 2010. Available from: http://hdl.handle.net/1853/33934

Georgia Tech
27.
Hua, Yueming.
Materials and methods for nanolithography using scanning thermal cantilever probes.
Degree: PhD, Chemical and Biomolecular Engineering, 2008, Georgia Tech
URL: http://hdl.handle.net/1853/22536
► This work presents the novel applications of heated AFM tip in nanolithography. Different strategies were investigated for patterning materials using heated AFM tip. New materials…
(more)
▼ This work presents the novel applications of heated AFM tip in nanolithography. Different strategies were investigated for patterning materials using heated AFM tip. New materials were developed for these new nanolithography methods. Simulation and modeling work was done to further understand the heat transfer and chemical reactions involved in the thermal writing process.
The selective thermal decomposition of polymer was the first thermal patterning method we¡¯ve investigated. A couple of different sacrificial polymers were used as the writing materials. Among these materials, the cross-linked amorphous polycarbonate (CPC-IV) was the best material for this application. The effect of cross-linking density on the performance of the material was investigated. A novel 3D thermal writing technology was developed by using cross-linked polymer as the writing material. A combined method utilizing the heated cantilever probe to pattern a polymer masking layer that can serve as a template for area selective atomic layer deposition techniques was developed.
Another thermal probe nanolithography method, thermal probe top surface imaging, was also developed. In this method, the heated AFM tip was used to generate functional groups on the polymer surface, and ALD was used to selectively deposit TiO2 on the surface where contains those functional groups. A new poly (hydroxyl styrene) based copolymer was developed for this method.
We also investigated self assembly monolayers (SAMs) as the thermal writing material. Two different SAMs were investigated. One the APTES and the other one is THP-MPTES. We demonstrated that the APTES can be patterned using thermal AFM probe, and other materials can be selectively deposited on the patterned APTES SAMs. Thermal AFM probe was used to selectively generate thiol groups from THP-MPTES SAMs, and then use these thiol groups to guide the deposition AuNPs.
Some simulation and modeling works were also done to further understand these processes. FemLab was used to analyze the heat transfer in the thermal cantilever and between the heated tip and substrate. Based on kinetics of polymer thermal decomposition, we built a simple model for the selective thermal decomposition nanolithography. The experimental results can be very well fitted by this model.
Advisors/Committee Members: Henderson, Clifford (Committee Chair), Hess, Dennis (Committee Member), King, William (Committee Member), Lu, Hang (Committee Member), Tolbert, Laren (Committee Member).
Subjects/Keywords: Atomic force microscope; Heated cantilever; Lithography; Microlithography; Nanotechnology; Probes (Electronic instruments); Thermal analysis
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Hua, Y. (2008). Materials and methods for nanolithography using scanning thermal cantilever probes. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/22536
Chicago Manual of Style (16th Edition):
Hua, Yueming. “Materials and methods for nanolithography using scanning thermal cantilever probes.” 2008. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/22536.
MLA Handbook (7th Edition):
Hua, Yueming. “Materials and methods for nanolithography using scanning thermal cantilever probes.” 2008. Web. 22 Jan 2021.
Vancouver:
Hua Y. Materials and methods for nanolithography using scanning thermal cantilever probes. [Internet] [Doctoral dissertation]. Georgia Tech; 2008. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/22536.
Council of Science Editors:
Hua Y. Materials and methods for nanolithography using scanning thermal cantilever probes. [Doctoral Dissertation]. Georgia Tech; 2008. Available from: http://hdl.handle.net/1853/22536

Georgia Tech
28.
Song, Ingu.
Role of carbon dioxide in gas expanded liquids for removal of photoresist and etch residue.
Degree: PhD, Chemical Engineering, 2007, Georgia Tech
URL: http://hdl.handle.net/1853/26473
► Progress in the microelectronics industry is driven by smaller and faster transistors. As feature sizes in integrated circuits become smaller and liquid chemical waste becomes…
(more)
▼ Progress in the microelectronics industry is driven by smaller and faster transistors. As feature sizes in integrated circuits become smaller and liquid chemical waste becomes an even greater environmental concern, gas expanded liquids (GXLs) may provide a possible solution to future device fabrication limitations relative to the use of liquids. The properties of GXLs such as surface tension can be tuned by the inclusion of high pressure gases; thereby, the reduced surface tension will allow penetration of cleaning solutions into small features on the nanometer scale. In addition, the inclusion of the gas decreases the amount of liquid necessary for the photoresist and etch residue removal processes. This thesis explores the role of CO2-based GXLs for photoresist and etch residue removal. The gas used for expansion is CO2 while the liquid used is methanol. The cosolvent serving as the removal agent is tetramethyl ammonium hydroxide (TMAH) which upon reacting with CO2 becomes predominantly tetramethyl ammonium bicarbonate (TMAB).
Advisors/Committee Members: Hess, Dennis (Committee Chair), Eckert, Charles (Committee Member), Frazier, Bruno (Committee Member), Henderson, Clifford (Committee Member), Liotta, Charles (Committee Member).
Subjects/Keywords: Etch residue; GXL; Photoresist; Gas expanded liquids; Carbon dioxide; Solvents; Carbon dioxide; Microelectronics industry; Sustainable engineering
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Song, I. (2007). Role of carbon dioxide in gas expanded liquids for removal of photoresist and etch residue. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/26473
Chicago Manual of Style (16th Edition):
Song, Ingu. “Role of carbon dioxide in gas expanded liquids for removal of photoresist and etch residue.” 2007. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/26473.
MLA Handbook (7th Edition):
Song, Ingu. “Role of carbon dioxide in gas expanded liquids for removal of photoresist and etch residue.” 2007. Web. 22 Jan 2021.
Vancouver:
Song I. Role of carbon dioxide in gas expanded liquids for removal of photoresist and etch residue. [Internet] [Doctoral dissertation]. Georgia Tech; 2007. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/26473.
Council of Science Editors:
Song I. Role of carbon dioxide in gas expanded liquids for removal of photoresist and etch residue. [Doctoral Dissertation]. Georgia Tech; 2007. Available from: http://hdl.handle.net/1853/26473

Georgia Tech
29.
Abdallah, Jassem.
Polycarbonate-silsesquioxane and polycarbonate-siloxane nanocomposites: synthesis, characterization, and application in the fabrication of porous inorganic films.
Degree: PhD, Chemical Engineering, 2009, Georgia Tech
URL: http://hdl.handle.net/1853/37271
► Three types of poly(norbornane carbonate) or PNC oligomers were synthesized and characterized via spectroscopic methods and elemental analyses to validate their chemical structures. Using the…
(more)
▼ Three types of poly(norbornane carbonate) or PNC oligomers were synthesized and characterized via spectroscopic methods and elemental analyses to validate their chemical structures. Using the results from proton nuclear magnetic resonance (1H NMR) experiments, the degree of polymerization and size of each PNC chain was estimated via end-group analysis. All three types of PNC structures were both thermally-labile and acidolytically-labile, allowing them to be used as sacrificial materials in both direct-write and thermally-processed template systems. Thermogravimetric analysis (TGA) data was used to determine the kinetic parameters for the thermolytic decomposition reactions and evolved-gas analysis via mass spectrometry (TGA-MS) was used to determine the mechanisms for thermolytic degradation.
PNC oligomers were freely-mixed with hydrogen silsesquioxane (HSQ) to form solutions that were spin-coated to form templated films. Transmission electron microscopy (TEM) showed that the free-mixing of PNCs with HSQ resulted in the agglomeration of the porogen molecules during the spincoating step. This phase-segregation produced domain sizes much larger than those of the individual chains, and during decomposition large pores were produced. To combat the phase segregation, hydrosilylation reactions were used to covalently bond vinyl end-capped PNC chains to silane-functionalized siloxane and silsesquioxane molecules. These matrix-like materials served as compatibilizers in order to improve the phase-compatibility of the sacrificial polymers in HSQ films. NMR and GPC analyses showed that the solids recovered from the hydrosilylation reactions were binary mixtures of hybrid nanocomposite molecules and residual ungrafted PNC chains.
TEM imaging showed that the domains in these nanocomposite films had bimodal size distributions due to the presence of two components in the mixtures. The hybrid molecules produced pores ranging in size from about 6-13 nm as a result of improvements in the phase-compatibility of the grafted oligomers. However, the residual ungrafted oligomers in the blends produced larger domains measuring 30-40 nm. It is believed that separation difficulties can be avoided if the vinyl termination reaction conditions can be adjusted to ensure 100% conversion of all the terminal hydroxyl groups to vinyl groups. Doing so would allow all PNC chains to be grafted during hydrosilylation reaction; thus, avoiding the recovery of free PNC oligomers.
Advisors/Committee Members: Henderson, Clifford (Committee Chair), Beckham, Haskell (Committee Member), Hess, Dennis (Committee Member), Nair, Sankar (Committee Member), Teja, Amyn (Committee Member).
Subjects/Keywords: Phase-segregation; Template; Direct-write; Hybrid; Nanocomposites; Low-k; Porous; Thin films; Porosity; Porous materials
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Abdallah, J. (2009). Polycarbonate-silsesquioxane and polycarbonate-siloxane nanocomposites: synthesis, characterization, and application in the fabrication of porous inorganic films. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/37271
Chicago Manual of Style (16th Edition):
Abdallah, Jassem. “Polycarbonate-silsesquioxane and polycarbonate-siloxane nanocomposites: synthesis, characterization, and application in the fabrication of porous inorganic films.” 2009. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/37271.
MLA Handbook (7th Edition):
Abdallah, Jassem. “Polycarbonate-silsesquioxane and polycarbonate-siloxane nanocomposites: synthesis, characterization, and application in the fabrication of porous inorganic films.” 2009. Web. 22 Jan 2021.
Vancouver:
Abdallah J. Polycarbonate-silsesquioxane and polycarbonate-siloxane nanocomposites: synthesis, characterization, and application in the fabrication of porous inorganic films. [Internet] [Doctoral dissertation]. Georgia Tech; 2009. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/37271.
Council of Science Editors:
Abdallah J. Polycarbonate-silsesquioxane and polycarbonate-siloxane nanocomposites: synthesis, characterization, and application in the fabrication of porous inorganic films. [Doctoral Dissertation]. Georgia Tech; 2009. Available from: http://hdl.handle.net/1853/37271

Georgia Tech
30.
Berger, Cody Michael.
Measuring Acid Generation Kinetics in Photoresist Films via Capacitance Techniques.
Degree: PhD, Chemical Engineering, 2004, Georgia Tech
URL: http://hdl.handle.net/1853/7598
► In this thesis, a novel technique for measuring photoacid generation kinetics in chemically amplified photoresists was developed that utilizes capacitance measurements from interdigitated electrodes. In…
(more)
▼ In this thesis, a novel technique for measuring photoacid generation kinetics in chemically amplified photoresists was developed that utilizes capacitance measurements from interdigitated electrodes. In this technique, a chemically amplified photoresist is first coated onto the interdigitated electrode sensors. Then, capacitance measurements are recorded from the sensor as the photoresist is exposed to UV radiation. As acid is generated in the film during exposure, the net dielectric constant of the resist film changes, resulting in a change in the capacitance measured from the IDE sensor. By properly analyzing the observed capacitance response to exposure, it is possible to determine the kinetic rate constant for photoacid generation, or Dill C parameter.
The discussion in this thesis describes four major areas of work performed. First, the basic development of the Dill C measurement technique and data analysis algorithm is described. Second, potential complications due to relative humidity changes, spin coating problems, and ambient base contamination are investigated. Next, the discussion turns to two key improvements to the measurement technique: the use of multi-frequency measurements to increase the capacitance signal, and the development of a normalized capacitance expression for improved data analysis. Finally, the effects of two critical components of chemically amplified resist solutions upon the technique are studied: protecting groups and background base quenchers.
Advisors/Committee Members: Henderson, Clifford (Committee Chair), Byers, Jeffrey (Committee Member), Hess, Dennis (Committee Member), Meredith, Carson (Committee Member), Ralph, Stephen (Committee Member).
Subjects/Keywords: Interdigitated electrodes; Capacitance; Dill C; Photoresists
Record Details
Similar Records
Cite
Share »
Record Details
Similar Records
Cite
« Share





❌
APA ·
Chicago ·
MLA ·
Vancouver ·
CSE |
Export
to Zotero / EndNote / Reference
Manager
APA (6th Edition):
Berger, C. M. (2004). Measuring Acid Generation Kinetics in Photoresist Films via Capacitance Techniques. (Doctoral Dissertation). Georgia Tech. Retrieved from http://hdl.handle.net/1853/7598
Chicago Manual of Style (16th Edition):
Berger, Cody Michael. “Measuring Acid Generation Kinetics in Photoresist Films via Capacitance Techniques.” 2004. Doctoral Dissertation, Georgia Tech. Accessed January 22, 2021.
http://hdl.handle.net/1853/7598.
MLA Handbook (7th Edition):
Berger, Cody Michael. “Measuring Acid Generation Kinetics in Photoresist Films via Capacitance Techniques.” 2004. Web. 22 Jan 2021.
Vancouver:
Berger CM. Measuring Acid Generation Kinetics in Photoresist Films via Capacitance Techniques. [Internet] [Doctoral dissertation]. Georgia Tech; 2004. [cited 2021 Jan 22].
Available from: http://hdl.handle.net/1853/7598.
Council of Science Editors:
Berger CM. Measuring Acid Generation Kinetics in Photoresist Films via Capacitance Techniques. [Doctoral Dissertation]. Georgia Tech; 2004. Available from: http://hdl.handle.net/1853/7598
◁ [1] [2] ▶
.